facebook rss twitter

Intel to use Cobalt for interconnect layers at 10nm

by Mark Tyson on 8 December 2017, 12:01

Tags: Intel (NASDAQ:INTC), GLOBALFOUNDRIES

Quick Link: HEXUS.net/qadooc

Add to My Vault: x

Please log in to view Printer Friendly Layout

Both Intel and GlobalFoundries gave process technology presentations at the IEEE International Electron Device Meeting (IEDM 2017) in San Francisco this week. This meeting ran over five days and is said to be one of the world’s preeminent forums for reporting technological breakthroughs in the areas of semiconductor and electronic device technology and associated sciences.

Intel

According to a report published by the EETimes, Intel will start to use Cobalt for the bottom two layers of its 10nm interconnect. The benefits are twofold; first of all Cobalt delivers a 5x to 10x improvement in electromigration, secondly its use results in a 2x reduction in via resistance. In its report the EETimes pondered that Intel's use of Cobalt could be a differentiator in the coming semiconductor manufacturing battles.

 

Previously we have learned that Intel's 10nm node will feature FinFETs with a 7nm fin width at a 34nm pitch and a 46nm fin height. These are made using self-aligned quadruple patterning (SAQP).

GlobalFoundries

GlobalFoundries provided some specifics over its planned 7nm node. According to the report on the firm's IEDM 2017 presentation, GlobalFoundries will create a platform that is entirely based on immersion optical lithography "but is designed to enable the insertion of EUV for specific levels to improve cycle time and manufacturing efficiency".

The EETimes interviewed GlobalFoundries CTO Gary Paton after the presentation and asked a few questions. Paton admitted that kinks in EUV still need to be worked out but GlobalFoundries is installing its first EUV production tools at Fab 8 in New York.

Like Intel, GlobalFoundries will use SAQP to make fins and double patterning. Interestingly it claims to have improved the 14nm process licensed from Samsung using its latest technology to deliver 2.8x density, up to 40 per cent better performance, and up to 55 per cent lower power. That is an encouraging result at this stage.

On the topic of the use of Cobalt by Intel, GolbalFoundries thought that sticking with copper/low-k provides reliability benefits, reducing complexity and yield risk. Furthermore, GlobalFoundries technical staff asserted that the copper system "still has a lot of juice left in it".



HEXUS Forums :: 1 Comment

Login with Forum Account

Don't have an account? Register today!
too bad they cant patent how they plan to use cobalt. or can they? if so they should if they haven't already.

does anyone know approximately when Intel plans to start producing their 10nm?